home *** CD-ROM | disk | FTP | other *** search
/ Collection of Internet / Collection of Internet.iso / faq / comp / lsi_cad_ / part1 next >
Text File  |  1994-04-07  |  37KB  |  823 lines

  1. Newsgroups: comp.lsi,comp.lsi.cad,news.answers,comp.answers
  2. Path: bloom-beacon.mit.edu!news.media.mit.edu!uhog.mit.edu!europa.eng.gtefsd.com!library.ucla.edu!news.ucdavis.edu!altarrib!mingus
  3. From: altarrib@mingus.ece.ucdavis.edu (Michael Altarriba)
  4. Subject: comp.lsi.cad Frequently Asked Questions With Answers (Part 1/4) [LONG]
  5. Message-ID: <lsi-cad-faq/part1_765764461@bird.ece.ucdavis.edu>
  6. Followup-To: comp.lsi.cad
  7. Summary: This is a biweekly posting of frequently asked questions with answers 
  8.          the for comp.lsi / comp.lsi.cad newsgroups. It should be consulted 
  9.          before posting questions to comp.lsi or comp.lsi.cad.
  10. Keywords: FAQ
  11. Sender: usenet@ucdavis.edu (News Guru)
  12. Supersedes: <lsi-cad-faq/part1_764292766@bird.ece.ucdavis.edu>
  13. Reply-To: clcfaq@ece.ucdavis.edu
  14. Organization: Department of Electrical and Computer Engineering, UC Davis
  15. Date: Fri, 8 Apr 1994 00:19:31 GMT
  16. Approved: news-answers-request@MIT.Edu
  17. Lines: 803
  18. Xref: bloom-beacon.mit.edu comp.lsi:1579 comp.lsi.cad:2136 news.answers:17799 comp.answers:4814
  19.  
  20. Archive-name: lsi-cad-faq/part1
  21.  
  22.  
  23. Welcome to comp.lsi.cad / comp.lsi: this is the biweekly posting of fre-
  24. quently asked questions with answers.  Before you post a question such as
  25. "Where can I ftp spice from?", please make sure that the answer is not
  26. already here.  If you spot an error, or if there is any information that
  27. you think should be included, please send us a note at
  28. clcfaq@ece.ucdavis.edu.
  29.  
  30. This FAQ has recently been put on the Web in a much more readable format.
  31. Though it is still under minor construction, all of the pieces are there.
  32. Try it out at http://www.ece.ucdavis.edu/sscrl/clcfaq/faq/faq-toc.html and
  33. let us know of any problems or suggestions by mailing to
  34. clcfaq@ece.ucdavis.edu.
  35.  
  36. The products and packages described here are intended for research and edu-
  37. cational use. As such, we try to limit our entries to applications which
  38. are available for free or at low cost (< $500). We also wish to limit the
  39. descriptions to at most a page (60 lines) in length.
  40.  
  41.     Bret Rothenberg <rothenbe@ece.ucdavis.edu>
  42.     Wes Hardaker <hardaker@ece.ucdavis.edu>
  43.     Mike Altarriba <altarrib@ece.ucdavis.edu>
  44.  
  45.     Solid State Circuits Research Laboratory
  46.     Electrical Engineering and Computer Science
  47.     University of California, Davis
  48.     Davis, California 95616
  49.  
  50. ----------------------------------------------------------------------
  51.  
  52.   $Id: comp.lsi.cad.FAQ.ms,v 1.81 94/04/07 17:19:06 altarrib Exp $
  53.  
  54.   Frequently Asked Questions with Answers
  55.  
  56.     1: Readership report for comp.lsi.cad and comp.lsi
  57.     2: Mosis Users' Group (MUG)
  58.     3: Improved spice listing from magic.
  59.     4: Tips and tricks for magic (Version 6.3)
  60.     5: What can I use to do good plots from magic/CIF?
  61.     6: What tools are used to layout verification?
  62.     7: EDIF data exchange format.
  63.     8: What layout examples are available?
  64.     9: How can I get my lsi design fabbed and how much will it cost?
  65.     10: Mosis fabrication services.
  66.     11: Archive sites for comp.lsi.cad and comp.lsi
  67.     12: Other newsgroups and information sources that relate to comp.lsi*
  68.     13: Simulation programs tips/tricks/bugs
  69.     14: Getting the latest version of the FAQ
  70.     15: Converting from/to GDSII/CIF/Magic
  71.     16: CFI (CAD Framework Initiative Inc.)
  72.     17: What synthesis systems are there?
  73.     18: What free tools are there available, and what can they do?
  74.     19: What Berkeley Tools are available for anonymous ftp?
  75.     20: What Berkeley Tools are available through ILP?
  76.     21: Berkeley Spice (Current version 3f4)
  77.     22: Octtools (Current version 5.1)
  78.     23: Ptolemy (Current version 0.5)
  79.     24: Lager (Current version 4.0)
  80.     25: BLIS (Current version 2.0)
  81.     26: COSMOS and BDD
  82.     27: ITEM
  83.     28: PADS logic/PADS PCB
  84.     29: Another PCB Layout Package
  85.     30: Magic (Current version 6.3)
  86.     31: PSpice
  87.     32: Esim
  88.     33: Isplice3 (Current version 2.0)
  89.     34: Watand
  90.     35: Caltech VLSI CAD Tools
  91.     36: Switcap2 (Current version 1.1)
  92.     37: Test Software based on Abramovici text
  93.     38: Atlanta and Soprano automatic test generators
  94.     39: Olympus Synthesis System
  95.     40: OASIS logic synthesis
  96.     41: T-SpiceTM (was CAzM), a Spice-like table-based analog circuit simulator
  97.     42: Galaxy CAD, integrated environment for digital design for Macintosh
  98.     43: WireC graphical/procedural system for schematic information
  99.     44: LateX circuit symbols for schematic generation
  100.     45: Tanner Research Tools (Ledit and LVS) (Commercial Product)
  101.     46: SIMIC, a full-featured logic verification simulator
  102.     47: LASI CAD System, IC and device layout for IBM compatibles
  103.     48: EEDRAW, an electrical/electronic diagramming tool for IBM compatibles
  104.     49: MagiCAD, GaAs Gate Array Design through MOSIS
  105.     50: XSPICE, extended version of Spice
  106.     51: MISIM, a model-independent circuit simulation tool
  107.     52: Nelsis Cad Framework
  108.     53: APLAC, a system-level simulator and IEEE-488 measurement tool
  109.     54: SLS, a switch-level simulator
  110.     55: OCEAN, a sea-of-gates design system
  111.     56: ALLIANCE, a CAD package and simulator for teaching digital VLSI design
  112.     57: ceBox EDIF Viewer
  113.     58: Analog CMOS VLSI Design Educational Resource Kit
  114.     59: TDX Fault Simulation and Test Generation Software
  115.     60: Nascent Technologies CDROM - magic and spice releases for Linux
  116.     61: Time Crafter 1.0, a timing diagram documentation tool
  117.     62: ACS, a general purpose mixed analog and digital circuit simulator
  118.     63: LOG/iC, a logic synthesis package for PLDs
  119.   + : new item
  120.   ! : changed
  121.   ? : additional information for this subject would be appreciated.
  122.  
  123. 1: Readership report for comp.lsi.cad and comp.lsi
  124.  
  125.   This is the full set of data from the USENET readership report for Oct
  126.   93.  Explanations of the figures are in a companion posting in
  127.   news.lists.
  128.  
  129.           +-- Estimated total number of people who read the group,
  130.           |   worldwide.
  131.           |      +-- Actual number of readers in sampled population.
  132.           |      |     +-- Propagation: how many sites receive this group
  133.           |      |     |   at all.
  134.           |      |     |      +-- Recent traffic (messages per month).
  135.           |      |     |      |     +-- Recent traffic (kilobytes per
  136.           |      |     |      |     |   month).
  137.           |      |     |      |     |       +-- Crossposting percentage
  138.           |      |     |      |     |       |    +-- Cost ratio:
  139.           |      |     |      |     |       |    |   $US/month/rdr
  140.           |      |     |      |     |       |    |      +-- Share: % of
  141.           |      |     |      |     |       |    |      |   newsreaders
  142.           |      |     |      |     |       |    |      |   who read this
  143.           |      |     |      |     |       |    |      |   group.
  144.           V      V     V      V     V       V    V      V
  145.  
  146.    576  49000   665   76%    79   553.2    15%  0.01   1.3%  comp.lsi.cad
  147.    624  46000   630   80%    75   294.1    50%  0.01   1.2%  comp.lsi
  148.  
  149. 2: Mosis Users' Group (MUG)
  150.  
  151.   (From the Microelectronics Systems Newsletter)
  152.  
  153.   The MOSIS  Users'  Group (MUG)  Newsletter is now known as the Microelec-
  154.   tronic Systems Newsletter.  The name change reflects the increased scope
  155.   of this newsletter which includes not only items of interest to those
  156.   designing integrated circuits for prototyping via MOSIS but also for
  157.   those designing, prototyping and producing microelec- tronic systems.
  158.   This issue is being distributed only via elec- tronic means to about 1600
  159.   individuals throughout the world.
  160.  
  161.   We hope that you enjoy receiving this newsletter and find it useful.
  162.   Comments and suggestions should be directed to the Editor along with any
  163.   change in address. If you prefer not to receive messages of this type,
  164.   which will occur no more often than monthly, please contact the Editor.
  165.  
  166.           Newsletter Editor
  167.           Prof. Don Bouldin
  168.           Electrical & Computer Engineering
  169.           University of Tennessee
  170.           Knoxville, TN 37996-2100
  171.           Tel:  (615)-974-5444
  172.           FAX:  (615)-974-5492
  173.           Email:  bouldin@sun1.engr.utk.edu
  174.           Compmail II:  D.Bouldin
  175.  
  176.   A variety of design files and CAD tools contributed by the members of the
  177.   MOvailable via anonymous ftp from
  178.   "ftp.mosis.edu:pub/mug" (128.9.0.32).  The files "readme" and "index"
  179.   should be retrieved first.  These files are provided "as is", but may
  180.   prove very helpful to those using the MOSIS integrated circuit prototyp-
  181.   ing service.
  182.  
  183. 3: Improved spice listing from magic.
  184.  
  185.   Hierarchical extractions with net names: ext2spice done by Andy Burstein
  186.   <burstein@eecs.berkeley.edu>:
  187.  
  188.   This program will do hierarchial extraction using node names.  It sup-
  189.   ports PS, PD, AS, and AD extraction as well.  It is available for ftp
  190.   from ic.berkeley.edu:pub/spice3/ext2spice.tar .
  191.  
  192.   Poly and well resistance extraction: There are persistent rumors that
  193.   people have this working, however, all I have seen is extracted poly
  194.   resistor with each end shorted together, ie each end has the same node
  195.   name/number.
  196.  
  197.   (This is the most annoying problem that I typically encounter daily.  If
  198.   ANYONE knows a fix for this, please tell us! I wrote a real quick and
  199.   dirty set of scripts/programs to edit the magic file.  It will break the
  200.   poly contacts and relabel them.  This is a real hack, but all other solu-
  201.   tions require modification of the magic code itself.  This procedure only
  202.   works with an extractor that handles labeled nodes, i.e. ext2spice from
  203.   above.  --WH)
  204.  
  205.   Spice listing from magic with MESFETs.
  206.  
  207.   (from Jen-I Pi <pi@isi.edu>)
  208.  
  209.   We have a revised version (of sim2spice) that goes with version6. It is
  210.   available from our anonymous FTP host
  211.   "ftp.mosis.edu:pub/mosis/magic/gaas_extract.tar.Z" (128.9.0.32).
  212.  
  213.   Assuming file inv.ext exist, the procedure for using 'sim2spice' is
  214.  
  215.               ext2sim inv
  216.               sim2spice inv.sim
  217.  
  218.   Here's the resulting SPICE decks for SPICE3e...
  219.  
  220.           SPICE 3 Deck created from inv.sim, tech=edgaas
  221.           *
  222.           z2 3 4 2 efet1.2 2.8
  223.           C3 3 0    0.485F
  224.           C4 4 0    1.062F
  225.           z1 1 4 3 dfet1.2 2.8
  226.           *
  227.  
  228. 4: Tips and tricks for magic (Version 6.3)
  229.  
  230.   Searching for nets:
  231.  
  232.   Yes, magic does actually let you search for node names.  Use :specialopen
  233.   netlist.  Then click on the box underneath label, you will be prompted
  234.   for the name of the label you want to search for.  Enter the name, and
  235.   then press enter twice.  Click on show, and then find, magic will then
  236.   highlight the net.
  237.  
  238.   Bulk node extraction:
  239.  
  240.   Problems with getting the bulk node to extract correctly?  Try labeling
  241.   the well with the node name that it is connected to.
  242.  
  243.   Painting Wells:
  244.  
  245.   Supposedly :cif in magic will automatically paint in the wells correctly.
  246.   However this is not always the case.  If you are using mosis 2u technol-
  247.   ogy, and your wells are getting strange notches in them, you might try
  248.   changing the grow 300 shrink 300 lines in your lambda=1.0(pwell) and
  249.   lambda=1.0(nwell) cif sections of your tech file to grow 450 shrink 450.
  250.   (Remember you can use :cif see CWN to see nwell, if :cifostyle is nwell,
  251.   or :cif see CWP to see pwell if its pwell technology to preview what will
  252.   be done with the well.  You may use :feedback clear to erase what it
  253.   shows you.)
  254.  
  255.   Magic notes available from gatekeeper.dec.com:pub/DEC/magic/notes
  256.   (16.1.0.2):
  257.  
  258.   Magic note.1 - 9/14/90 - ANNOUNCEMENT:  Magic V6 is ready
  259.   Magic note.2 - 9/19/90 - DOC:  Doc changes (fixed in releases after 9/20/90)
  260.   Magic note.3 - 9/19/90 - GRAPHICS:  Mode problem (fixed 9/20/90)
  261.   Magic note.4 - 9/19/90 - HPUX:  rindex macro for HPUX 7.0 and later
  262.   Magic note.5 - 9/19/90 - GCC:  "gcc" with magic, one user's experience
  263.   Magic note.6 - 9/19/90 - FTP:  Public FTP area for Magic notes
  264.   Magic note.7 - 9/20/90 - RSIM:  Compiling rsim, one user's suggestions & hints
  265.   Magic note.8 - 9/26/90 - GENERAL:  Magic tries to open bogus directories
  266.   Magic note.9 - 9/26/90 - GRAPHICS:  Mods to X11Helper
  267.   Magic note.10 - 10/5/90 - DOS:  Magic V4 for DOS and OS/2
  268.   Magic note.11 - 10/11/90 - GENERAL:  reducing memory usage by 600k
  269.   Magic note.12 - 12/19/90 - EXT2xxx:  fixes bogus resistances
  270.   Magic note.13 - 12/19/90 - EXTRESIS:  fixed bug in resis that caused coredump.
  271.   Magic note.14 - 12/19/90 - EXTRESIS:  new version of scmos.tech for extresis
  272.   Magic note.15 - 12/19/90 - TECH:  documentation for contact line in tech file
  273.   Magic note.16 - 12/19/90 - EXTRACT:  bug fix to transistor attributes
  274.   Magic note.17 - 5/13/91 - CALMA:  Incorrect arrays in calma output
  275.   Magic note.18 - 5/14/91 - CALMA:  Extension to calma input
  276.   Magic note.19 - 6/28/91 - IRSIM:  Some .prm files for IRSIM
  277.   Magic note.20 - 7/18/91 - EXTRESIS:  fixes for Magic's extresis command
  278.   Magic note.21 - 2/7/92 - FAQ:  Frequently asked questions
  279.   Magic note.22 - 11/6/91 - CALMA:  how to write a calma tape
  280.   Magic note.23 - 11/4/91 - EXT2xxx:  fix for incorrect resistor extraction
  281.   Magic note.24 - 11/8/91 - EXTRESIS:  fix 0-ohm resistors
  282.   Magic note.25 - 11/15/91 - NEXT:  porting magic to the NeXT machine
  283.   Magic note.26 - 11/21/91 - IRSIM:  fix for hanging :decay command
  284.   Magic note.27 - 12/17/91 - RESIS:  fix for "Attempt to remove node ..." error
  285.   Magic note.28 - 1/28/92 - MAGIC:  anonymous FTP now available
  286.   Magic note.29 - 3/27/92 - PLOT:  support for Versatec 2700
  287.   Magic note.30 - 4/8/92 - PATHS:  Have the ":source" command follow a path
  288.   Magic note.31 - 4/10/92 - MPACK:  Mpack now works with Magic 6.3
  289.   Magic note.32 - 3/13/92 - AED:  Using AED displays with Magic 6.3
  290.   Magic note.33 - 3/13/92 - OPENWINDOWS:  Compilation for OpenWindows/X11
  291.   Magic note.34 - 2/14/92 - OPENWINDOWS:  fix mouse problem
  292.   Magic note.35 - 8/27/92 - RS6000: diffs to get magic to run on RS6000
  293.  
  294. 5: What can I use to do good plots from magic/CIF?
  295.  
  296.   (Thanks to Douglas Yarrington <arri@ee.eng.ohio-state.edu> and Harry
  297.   Langenbacher <harry@neuronz.Jpl.Nasa.Gov>, for feedback here.)
  298.  
  299.   CIF:
  300.  
  301.   CIF stands for CalTech Intermediate Form. It's a graphics language which
  302.   can be used to describe integrated circuit layouts.
  303.  
  304.   cif2ps  version 2 (Gordon W. Ross, MITRE):
  305.  
  306.   A much better version of cif2ps, extending the code of cif2ps (Marc
  307.   Lesure, Arizona State University) and cifp (Arthur Simoneau, Aerospace
  308.   Corp).  It features command line options for depth and formatting.  Can
  309.   extend one plot over several pages (up to 5 by 5, or 25 pages). By
  310.   default, uses a mixture of postscript gray fill and cross-hatching.
  311.   Options include rotating the image, selecting the hierarchy depth to
  312.   plot, and plotting style customization.  Plots are in B/W only.
  313.  
  314.   It was posted to comp.sources.misc, and is available by ftp from
  315.   uunet.uu.net:comp.sources.misc/volume8/cif2ps.Z (192.48.96.2).
  316.  
  317.   cifplot:
  318.  
  319.   Cifplot plots CIF format files on a screen, printer or plotter.  Cifplot
  320.   reads the .cif file, generates a b/w or color raster dump, and sends it
  321.   to the printer.  Plots can be scaled, clipped, or rotated.  Hierarchy
  322.   depth is selectable, as well as the choice of colormap or fill pattern.
  323.   An option exists which will compress raster data to reduce the required
  324.   disk space.  For those plotting to a Versatec plotter, there is also a
  325.   printer filter/driver available called vdmp.
  326.  
  327.   cifplot (m2c version, from chiang@m2c.org <Rit Chiang>):
  328.  
  329.   The cifplot program from M2C is not in public domain.  However, we do
  330.   provide P.D. CAD tools to university for a fee of $2500/year to cover our
  331.   cost on distribution, telephone hotline support, documentation and
  332.   tutorials, etc., under our CUME (Clearinghouse for Undergraduate
  333.   Microelectronics Education) program.  This program, in the past, was sub-
  334.   sidized by NSF.
  335.  
  336.   The cifplot program was modified by M2C to support plotting for B&W
  337.   PostScript and color PostScript printers, besides the versatec plotters.
  338.   We also provide plotting services for people who sent us a cif file.  The
  339.   cost is $20/per 24" color versatec plot for University and $50 for oth-
  340.   ers.
  341.  
  342.   For more information on the CUME program or the plotting service, please
  343.   send e-mail to hotline@m2c.org.
  344.  
  345.   oct2ps (available as part of the octtools distribution):
  346.  
  347.   It is possible to convert your .mag file to octtools, and then you may
  348.   use oct2ps to print it.
  349.  
  350.   Both cif2ps and oct2ps work well for conversion to postscript.  They do
  351.   look slightly different, so pick your favorite.  Note that cif2ps can be
  352.   converted to adobe encapsulated postscript easily by adding a bounding
  353.   box comment.  oct2ps does convert to color postscript, which can be a
  354.   plus for those of you with color postscript printers.
  355.  
  356.   Flea:
  357.  
  358.   Flea ([F]un [L]oveable [E]ngineering [A]rtist) is a program used to plot
  359.   magic and cif design files to various output devices. Parameters are
  360.   passed to flea through the flags and flag data or through .flearc files
  361.   and tech files.  Supports: HP7580 plotter, HP7550 hpgl file output,
  362.   HP7550 plotter lpr output, Postscript file output, Laser Writer lpr out-
  363.   put, Versatec versaplot random output.  Options include: Does line draw-
  364.   ings with crosshatching for postscript, versatec, and hp plotters.  Many
  365.   options (depth, label depth, scale, path, format...)
  366.  
  367.   Available by ftp from zeus.ee.msstate.edu:pub/flea.tar.Z .
  368.  
  369.   pplot:
  370.  
  371.   Can output color PostScript from CIF files. The source is available from:
  372.   tesla.ee.cornell.edu:pub/cad/pplot.tar.Z . It only generates PS files
  373.   (including color PS), and there's no support for EPS files.  It is lim-
  374.   ited in its support of cif commands.  (Wire, roundflash, and delete are
  375.   not supported.)  It only supports manhattan geometry (Polygons and rota-
  376.   tions may only be in 90 degree multiples.)
  377.  
  378.   vic:
  379.  
  380.   Part of the U. of Washington's Northwest Lab, for Integrated Systems Cad
  381.   Tool Release (previously UW/NW VLSI Consortium).  Does postscript and HP
  382.   pen plotters.  Only available as part of the package.
  383.  
  384.   CIF/Magic -> EPS -> groff/latex
  385.  
  386.   Currently no prgram here directly generates EPS files.  It is possible to
  387.   add an EPS bounding box (%% BoundingBox: l t b r) to the output from
  388.   these programs to get an EPS file.  Alternatively, ps2eps or ps2epsf may
  389.   be used.
  390.  
  391. 6: What tools are used to layout verification?
  392.  
  393.   Gemini:
  394.  
  395.   Gemini is a graph isomorphism tool for comparing circuit wirelists.  The
  396.   latest version of Gemini is 2.7 and is now available by FTP from
  397.   shrimp.cs.washington.edu (128.95.1.99).  Note: Gemini is not available by
  398.   anonymous FTP.  Send email to Larry McMurchie (larry@cs.washington.edu)
  399.   if you need the FTP login and password for Gemini.
  400.  
  401.   Version 2.7 includes a new SIM file format to support four-terminal MOS
  402.   transistors.  This format is called 'LBL' and was inspired by Mario
  403.   Aranha at Lawrence Berkeley Labs.  Also some minor bugs have been fixed
  404.   concerning portability.  The user guide 'gemuser.ps' has been updated to
  405.   reflect the changes to the code.
  406.  
  407.   Gemini compiles and runs on a wide variety of architectures, including
  408.   Sparc, Mips, DEC AXP, HP, KSR, Intel i860, MC 68020 and VAX, under both
  409.   Classic C and ANSI C compliant compilers.  As the number of architectures
  410.   continues to expand, new portability problems are revealed.  Please keep
  411.   us informed if you encounter any portability problems or bugs.
  412.  
  413.   Contact:
  414.  
  415.           Larry McMurchie
  416.           Computer Science Department, FR-35
  417.           University of Washington
  418.           Seattle, WA  98195
  419.           larry@cs.washington.edu
  420.  
  421.   Tanner LVS:
  422.  
  423.   This is a relatively inexpensive commercial product, see the section on
  424.   Tanner tools.
  425.  
  426.   Wellchecker:
  427.  
  428.   (from MUG) ftp ftp.mosis.edu (128.9.0.32)
  429.  
  430.   netcmp:
  431.  
  432.   Part of the caltech tools (see the "Caltech VLSI CAD Tools" section)
  433.  
  434. 7: EDIF data exchange format.
  435.  
  436.   (From Nigel Whitaker <nigelw@computer-science.manchester.ac.uk>)
  437.  
  438.   EDIF Version 3.0.0 has been released as EIA standard 618.  A draft ver-
  439.   sion of EDIF Version 3.0.0 was announed/released at the Design Automation
  440.   Conference (DAC) at Dallas, Texas, 16/6/93.
  441.  
  442.   New Reference Manuals and EXPRESS information models for this new version
  443.   of EDIF are available from the EIA:
  444.  
  445.           Electronic Industries Association
  446.           Standard Sales Department (Attn: Cecelia Fleming)
  447.           2001 Pennsylvania Avenue, N.W.
  448.           Washington D.C. 20006, USA
  449.  
  450.   An electronic copy of the BNF, together with other EDIF related informa-
  451.   tion such as tests files and EDIF documents can be obtained by anonymous
  452.   ftp from edif.cs.man.ac.uk:pub/edif .  A copy can be obtained on a floppy
  453.   directly from the EIA.  The BNF of earlier versions of EDIF are avail-
  454.   able.  You can get the official line on this by mailing edif-
  455.   support@cs.man.ac.uk
  456.  
  457.   An ftpmail server is provided for those without ftp access.  Send an
  458.   empty email message to: ftpmail@cs.man.ac.uk ; a message describing the
  459.   commands which can be used in further email messages to retreive files
  460.   will be sent to you.
  461.  
  462.   An electonic mailing list is available to people interested in EDIF and
  463.   for EDIF developers/programmers.  Send email to edif-users-
  464.   request@cs.man.ac.uk to be added.
  465.  
  466.   The EDIF Technical Centre (based at the University of Manchester and
  467.   funded by the CEC as part of ESPRIT 2072 -- ECIP) can be contacted by the
  468.   following means:
  469.  
  470.           EDIF Technical Centre, Depeartment of Computer Science
  471.           University of Manchester, Manchester, M13 9PL, UK
  472.  
  473.           Tel: +44 61 275 6289
  474.           FAX: +44 61 275 6280
  475.           e-mail: edif-support@cs.man.ac.uk
  476.  
  477. 8: What layout examples are available?
  478.  
  479.   From MUG:
  480.  
  481.   Analog neural network library of cells, 66-bit Manchester carry-skip
  482.   adder, static ram fabricated at 2-micron, an analog op amp, from
  483.   ftp.mosis.edu:pub/mug .
  484.  
  485. 9: How can I get my lsi design fabbed and how much will it cost?
  486.  
  487.   See section on mosis fabrication services as well.
  488.  
  489.   (From chiang@m2c.org <Rit Chiang>) M2C can also provide low-cost, low-
  490.   volume prototyping fab services.  The current technology available to the
  491.   public is the  2um NWell single-poly double-metal process.
  492.  
  493.   For pricing information and fab schedule, please send e-mail to
  494.   hotline@m2c.org.
  495.  
  496.   Unfortunately, the fab line is currently inactive.  We have no informa-
  497.   tion as to when the fab will be back up.
  498.  
  499.   (From MUG 20 George Lewicki of Orbit Semiconductor)
  500.  
  501.   Orbit Semiconductor operates an integrated circuit prototyping service
  502.   that accepts designs each week for all of its processes.  The service is
  503.   available to both U.S. and non-U.S. designers. In- quiries about the
  504.   FORESIGHT prototyping service should be ad- dressed to George Lewicki.
  505.   Designs can now be submitted directly via email.
  506.  
  507.               Orbit Semiconductor, Inc.
  508.               1215 Bordeaux Drive
  509.               Sunnyvale, CA 94089
  510.               TEL: (408)-744-1800
  511.               FAX: (408)-747-1263
  512.               Email: foresight@orbsemi.com
  513.  
  514.   (Contributed by Don Bouldin of the University of Tennessee)
  515.  
  516.   Recently, I contacted several foundries to determine  which  com- panies
  517.   are  interested  in fabricating small to moderate lots of wafers for cus-
  518.   tom CMOS designs.  I believe many of the readers of this  column are
  519.   designers who wish to have fabricated only 1,000 to 20,000 parts per
  520.   year.  There are currently several  prototyp- ing  services  (e.g. MOSIS
  521.   and Orbit) that can produce fewer than 100 parts for about $100 each and
  522.   there are  also  several  foun- dries  which  are willing to produce
  523.   100,000 custom parts for $5- $20 each (depending on the die size and
  524.   yield).  My  purpose  was to  identify  those companies filling the large
  525.   gap between these two services.
  526.  
  527.   The prices in the table below are a result of averaging the  data sup-
  528.   plied by four foundries.  The raw data varied by more than +/- 40% so the
  529.   information should be used only in the early stages of budgetary  plan-
  530.   ning.   Once  the design specifications are fairly well known, the
  531.   designer should contact one or more foundries  to obtain  specific
  532.   budgetary  quotes.  As the design nears comple- tion, binding quotes can
  533.   then be obtained.
  534.  
  535.   The following assumptions were made by the foundries:
  536.  
  537.   All designs will require custom CMOS wafer  fabrication  using  a
  538.   double-metal, single-poly process with a feature size between 2.0 and 1.2
  539.   microns.  The designs may contain some  analog  circuitry and  some  RAM
  540.   so the yield has been calculated pessimistically.  The dies will be pack-
  541.   aged and tested at 1  MHz  using  a  Sentry- type digital tester for 5-10
  542.   se The customer will furnish the test vectors.
  543.  
  544.           Piece Price includes Wafer Fabrication+Die Packaging+Part Testing
  545.           Size        Package                      Quantity
  546.  
  547.                                  |1,000 | 5,000 | 10,000 | 20,000  |100,000
  548.           -----------------------------------------------------------------
  549.           2 mm x 2 mm; 84 PLCC:  | $ 27 | $  6  |  $  5  |  $  4   | $  3 |
  550.           5 mm x 5 mm; 84 PLCC:  | $ 31 | $ 12  |  $  8  |  $  7   | $  6 |
  551.           5 mm x 5 mm; 132 PGA:  | $ 49 | $ 30  |  $ 25  |  $ 22   | $ 18 |
  552.           7 mm x 7 mm; 132 PGA:  | $ 65 | $ 44  |  $ 36  |  $ 31   | $ 27 |
  553.  
  554.           Lithography charges:  $ 20,000 - $ 40,000
  555.           Preferred Formats:  GDS-II or  CIF Tapes
  556.           Additional charges for Second-Poly:  $ 5,000
  557.  
  558.   (This is from MUG 19, there is also a list of foundries that these prices
  559.   were derived from.  In the interested of saving space, I have ommitted
  560.   the list.  The list is available from MUG's ftp site included in MUG
  561.   newsletter #19.)
  562.  
  563. 10: Mosis fabrication services.
  564.  
  565.   (From Mosis) Information is available from mosis for pricing and fab
  566.   schedules through an automatic email system:
  567.  
  568.   Mail to mosis@mosis.edu with the message body as follows:
  569.  
  570.           REQUEST: INFORMATION
  571.           TOPIC: TOPICS
  572.           REQUEST: END
  573.  
  574.   for general information and a list of available topics.
  575.  
  576.   If you need to contact a person at mosis, you may mail to mosis@mosis.edu
  577.   with REQUEST: ATTENTION.
  578.  
  579.   Also anonymous ftp is available. ftp to ftp.mosis.edu.  This is a dupli-
  580.   cation of all files that are available from the mail server.
  581.  
  582.   (From MUG 20 Contributed by Don Bouldin of the University of Tennessee)
  583.  
  584.   Multi-project fabrication of BICMOS designs are already available to
  585.   European universities via CMP and to Canadian universities via the Cana-
  586.   dian Microelectronic Corporation.  However, in the United States, the
  587.   demand for BiCMOS fabrication via MOSIS has not been considered signifi-
  588.   cant.  MOSIS is currently planning to start offering 0.5-micron BiCMOS
  589.   during the first quarter of 1994. This will have a core voltage operation
  590.   of 3.3v and a clock frequency in the range of 220-250Mhz.  MOSIS is
  591.   interested in seeing if a larger demand exists in the community than
  592.   expressed so far.
  593.  
  594.   If you would like to have BiCMOS available before 1994, please send a
  595.   short note to mosis@mosis.edu (with a copy to bouldin@sun1.engr.utk.edu)
  596.   using the following format.
  597.  
  598.                REQUEST:  ATTENTION
  599.                           .
  600.                           .
  601.                    your message goes here
  602.                           .
  603.                           .
  604.                REQUEST: END
  605.  
  606.   (From MUG 20 and Chris Donham of the University of Pennsylvania)
  607.  
  608.   Support for mosis technologies under Cadence Analog Artist 2.4 is avail-
  609.   able as is from University of Pennsylvania.  This includes DRC, LVS, EXT,
  610.   and a beginner's guide.  Currently they are working on support for Opus
  611.   4.2.  The files supporting Artist 2.4 are currently available via
  612.   anonymous FTP.  Penn is not affiliated with MOSIS, except as a satisfied
  613.   customer, and as a result, NO WARRANTY IS EXPRESSED OR IMPLIED WITH
  614.   REGARDS TO THE FILES, OR THEIR FITNESS FOR ANY USE.  Use the files at
  615.   your own risk.  To obtain the files, FTP to axon.ee.upenn.edu
  616.   (130.91.6.208), using the name "anonymous" and your mailing address as
  617.   the password.  The files are in the "pub" directory.
  618.  
  619.   Penn is in the process of switching from Artist 2.4 to Opus 4.2.  The
  620.   manual is being rewritten, and the support files are being updated.
  621.   Technology files supporting DRC, Extract, and Compare are currently in
  622.   beta-test.  If problems or bugs are detected, please send email to
  623.   "cadence@axon.ee.upenn.edu".
  624.  
  625. 11: Archive sites for comp.lsi.cad and comp.lsi
  626.  
  627.   (None of these are comprehensive archives, rather, they have about 3
  628.   postings each)
  629.  
  630.   comp.lsi.cad:
  631.   cnam.cnam.fr:pub/Archives/comp.archives/auto/comp.lsi.cad
  632.   cs.dal.ca:pub/comp.archives/comp.lsi.cad
  633.   srawgw.sra.co.jp:.a/sranha-bp/arch/arch/comp.archives/auto/comp.lsi.cad
  634.  
  635. 12: Other newsgroups and information sources that relate to comp.lsi*
  636.  
  637.   alt.cad
  638.   comp.cad.cadence
  639.   comp.lang.verilog
  640.   comp.lang.vhdl
  641.   comp.sys.mentor
  642.   sci.electronics
  643.  
  644. Free Electronic Newsletter on Advanced Computing (HOTT)
  645.  
  646.   (Contributed by David Scott Lewis of IEEE Engineering Management Review)
  647.  
  648.   HOTT -- Hot Off The Tree -- is a FREE monthly electronic newsletter
  649.   featuring the latest advances in computer, communications, and electron-
  650.   ics technologies.  Each issue provides article summaries on new & emerg-
  651.   ing technologies, including VR (virtual reality), neural networks, PDAs
  652.   (personal digital assistants), GUIs (graphical user interfaces), intelli-
  653.   gent agents, ubiquitous computing, genetic & evolutionary programming,
  654.   wireless networks, smart cards, video phones, set-top boxes, nanotechnol-
  655.   ogy, and massively parallel processing.
  656.  
  657.   Summaries are provided from the following sources:
  658.  
  659.   Wall Street Journal, New York Times, Los Angeles Times, Washington Post,
  660.   San Jose Mercury News, Boston Globe, Financial Times (London), Daily
  661.   Telegraph (the largest circulation daily in the U.K.) ...
  662.  
  663.   Time, Newsweek, U.S. News & World Report ...
  664.  
  665.   Business Week, Forbes, Fortune, The Economist (London), Nikkei Weekly
  666.   (Tokyo), Asian Wall Street Journal (Hong Kong) ...
  667.  
  668.   over 50 trade magazines, including Computerworld, InfoWorld, Datamation,
  669.   PC Week, Dr. Dobb's Journal, LAN Times, Communications Week, Electronic
  670.   Engineering Times, New Media, VAR Business, Midrange Systems, Byte ...
  671.  
  672.   over 50 research journals, including ALL publications of the IEEE Com-
  673.   puter and Communications Societies, plus technical journals published by
  674.   AT&T, IBM, Hewlett Packard, Fujitsu, Sharp, NTT, Siemens, Philips, GEC
  675.   ...
  676.  
  677.   over 100 Internet mailing lists & USENET discussion groups, plus ...
  678.  
  679.   listings of forthcoming & recently published technical books and forth-
  680.   coming trade shows & technical conferences
  681.  
  682.   BONUS:
  683.  
  684.   Exclusive interviews with technology pioneers ... the next issue features
  685.   an interview with Mark Weiser, head of Xerox PARC's Computer Science Lab.
  686.  
  687.           Send subscription requests to:
  688.             listserv@ucsd.edu
  689.           Leave the "Subject" line blank
  690.           In the body of message input:
  691.             SUBSCRIBE HOTT-LIST
  692.           Do *not* include first or last names following "SUBSCRIBE HOTT-LIST"
  693.  
  694.           The next issue of the revived HOTT e-newsletter is scheduled for
  695.           transmission in late January/early February.
  696.  
  697.             David Scott Lewis
  698.             Editor-in-Chief and Book & Video Review Editor
  699.             IEEE Engineering Management Review
  700.             Internet address: d.s.lewis@ieee.org      Tel: +1 714 662 7037
  701.             USPS mailing address: POB 18438 / IRVINE CA 92713-8438  USA
  702.  
  703. 13: Simulation programs tips/tricks/bugs
  704.  
  705.   Berkeley spice:
  706.  
  707.   Pspice:
  708.  
  709.   Hspice:
  710.  
  711.   If your simulation won't converge for a given DC input, you can ramp the
  712.   input and print the DC operating point and then set the nodes that way
  713.   for future simulations.
  714.  
  715.   A number of documents are available for information on BSIM model parame-
  716.   ters: (from Mark Johnson, as posted to comp.lsi <mjohnson@netcom.com>)
  717.  
  718.   1. The very best written description I have seen is in a software manual.
  719.      The good news is that this manual is free; the bad news is that you
  720.      have to buy the multi-thousand-dollar program in order to get the free
  721.      manual.  The program is HSPICE from Meta-Software Inc (Campbell,
  722.      Calif., USA).  The HSPICE User's Manual, chapter 7, gives all the
  723.      details you'd ever want to know regarding BSIM parameters.
  724.  
  725.   2. The second best description I have seen of BSIM is in, strangely
  726.      enough, a manual for BSIM2 (!).  It is available from the University
  727.      of California at Berkeley.  Telephone (510)-643-6687 and they will
  728.      give you instructions on how to buy the manual.  (They'll probably
  729.      suggest that you might want to buy some software too).
  730.  
  731.              J.S. Duster, M.C. Jeng, P.K. Ko, and C. Hu, "Users
  732.  Parameter Extraction Program and
  733.              the SPICE3 with BSIM Implementation"
  734.  
  735.   3. You can learn some things about BSIM parameters by reading about pro-
  736.      grams which extract the parameters from measured data.  UC Berkeley
  737.      offers several programs and manuals for this.  The one that I person-
  738.      ally prefer is
  739.  
  740.              M.C. Jeng, B.J. Sheu, and P.K. Ko: "BSIM Parameter
  741.              Extraction - Algorithms and User's Guide," Memo
  742.              No. UCB/ERL M85/79, 7 October 1985.
  743.  
  744.   4. Next, look at Sheu's Ph.D. thesis.  He is the guy who combined the
  745.      Bell Labs CSIM model with a bunch of other published equations, and
  746.      formulated BSIM.  It's available from the same phone number.
  747.  
  748.              B.J. Sheu, "MOS Transistor Modelling and Characterization
  749.              for Circuit Simulation", Memo No. UCB/ERL M85/85,
  750.              26 October 1985
  751.  
  752.   5. The worst description (in +my+ opinion of course) is unfortunately in
  753.      the most-accessible publication.  To save space in the journal they
  754.      left out some parameter discussions and (again in my opinion) produced
  755.      a disjointed, not-fully- informative paper.  Others may have different
  756.      views, naturally.
  757.  
  758.              B.J. Sheu, D.L. Scharfetter, P-K Ko, M-C Jeng, "BSIM:
  759.              Berkeley Short-Channel IGFET Model for MOS Transistors,"
  760.              IEEE Journal of Solid-State Circuits, Vol SC-22, No. 4,
  761.              August 1987, pp. 558-565.
  762.  
  763. 14: Getting the latest version of the FAQ:
  764.  
  765.   Mail to clcfaq@eecs.ucdavis.edu with the subject "send faq".
  766.  
  767.   If you wish to be added to the FAQ mailing list, send a note to
  768.   clcfaq@eecs.ucdavis.edu with subject heading 'Subscribe'. You will then
  769.   have the FAQ regularly emailed to the return address of the note. Like-
  770.   wise, use the subject heading 'Unsubscribe' to be removed from the list.
  771.  
  772.   This FAQ is now cross-posted to news.answers and comp.answers. This news-
  773.   group is archived periodically on
  774.   rtfm.mit.edu:pub/usenet/news.answers/lsi-cad-faq [18.70.0.209].  Postings
  775.   are archived as "part1" through "part4".
  776.  
  777.   (from Thomas A. Fine <fine@cis.ohio-state.edu>)
  778.  
  779.   WWW I maintain an "archive" of news.answers available via WWW.  As a
  780.   matter of fact, I used WWW to read through your posting just last week.
  781.   I found it very informative; thanks much.  Advertise the following refer-
  782.   ence to get to the archive in general:
  783.     http://www.cis.ohio-state.edu:80/hypertext/faq/usenet/FAQ-List.html
  784.  
  785.   or to get to your particular FAQ, give out this reference:
  786.     http://www.cis.ohio-state.edu:80/hypertext/faq/usenet/lsi-cad-
  787.   faq/top.html
  788.  
  789.   Gopher The news.answers introduction (which I pulled up in WWW ;-) lists
  790.   the following gopher sites for the FAQs:
  791.  
  792.               cc1.kuleuven.ac.be port 70
  793.               jupiter.sun.csd.unb.ca port 70
  794.               gopher.univ-lyon1.fr, port 70
  795.               ftp.win.tue.nl, port 70
  796.               gopher.win.tue.nl, port 70
  797.               kona.ee.pitt.edu 70
  798.  
  799.   To reference gopher from Mosaic, us the following reference:
  800.     gopher://kona.ee.pitt.edu WAIS
  801.  
  802.   I pulled this straight out of the news.answers Introduction:
  803.  
  804.   Note that the periodic posting archives on rtfm.mit.edu are also accessi-
  805.   ble via WAIS (the database name is "usenet" on port 210).  If you don't
  806.   know what WAIS is, don't worry about it, although you can look in
  807.   comp.infosystems.wais if you're curious.  And don't write to us and ask,
  808.  
  809.   please; we unfortuately already have too many things to deal with without
  810.   having to answer questions about other people's software.
  811.  
  812. 15: Converting from/to GDSII/CIF/Magic
  813.  
  814.   Magic version 6.3 is capable of reading and writting to all three for-
  815.   mats.  (From the magic man page):
  816.  
  817.   calma [option] [args]
  818.  
  819.   This command is used to read and write files in Calma GDS II Stream for-
  820.   mat (version 3.0, corresponding to GDS II Release 5.1).  This format is
  821.   like CIF, in that it describes physical mask layers instead of Magic
  822.   layers.  In fact, the technology file specifies a correspondence between
  823.